Pic interface, On-board led and button i/o, Pmod connectors – Digilent 6015-410-001P-KIT User Manual

Page 21

Advertising
background image

NetFPGA-1G-CML™ Board Reference Manual

PIC Interface

Port Name

IO Location

IO Standard Type

NET pic2fpga_sck LOC = AA17

IOSTANDARD = LVCMOS18;

NET pic2fpga_sdo LOC = V16

IOSTANDARD = LVCMOS18;

NET pic2fpga_ss_n LOC = W16

IOSTANDARD = LVCMOS18;

NET pic2fpga_gpi00 LOC = W18

IOSTANDARD = LVCMOS18;

NET pic2fpga_gpi01 LOC = V17

IOSTANDARD = LVCMOS18;

NET pic2fpga_sdi LOC = W15

IOSTANDARD = LVCMOS18;

NET fpga2pic_sck LOC = W14

IOSTANDARD = LVCMOS18;

NET fpga2pic_sdi LOC = V14

IOSTANDARD = LVCMOS18;

NET fpga2pic_ss_n LOC = V18

IOSTANDARD = LVCMOS18;

NET fpga2pic_sdo LOC = V19

IOSTANDARD = LVCMOS18;

On-Board LED and Button I/O

Port Name

IO Location

IO Standard Type

NET led_0

LOC = E17

IOSTANDARD = LVCMOS33;

NET led_1

LOC = AF14

IOSTANDARD = LVCMOS18;

NET led_2

LOC = F17

IOSTANDARD = LVCMOS33;

NET led_3

LOC = W19

IOSTANDARD = LVCMOS18;

NET btn_0

LOC = W6

IOSTANDARD = LVCMOS15;

NET btn_1

LOC = E18

IOSTANDARD = LVCMOS33;

NET btn_2

LOC = AC6

IOSTANDARD = LVCMOS15;

NET btn_3

LOC = AB6

IOSTANDARD = LVCMOS15;

PMOD Connectors

Port Name

IO Location

IO Standard Type

NET pmod_ja_1

LOC = D19

IOSTANDARD = LVCMOS33;

NET pmod_ja_2

LOC = E23

IOSTANDARD = LVCMOS33;

NET pmod_ja_3

LOC = D25

IOSTANDARD = LVCMOS33;

NET pmod_ja_4

LOC = F23

IOSTANDARD = LVCMOS33;

NET pmod_ja_7

LOC = F19

IOSTANDARD = LVCMOS33;

NET pmod_ja_8

LOC = G22

IOSTANDARD = LVCMOS33;

NET pmod_ja_9

LOC = D24

IOSTANDARD = LVCMOS33;

Copyright Digilent, Inc. All rights reserved.

Other product and company names mentioned may be trademarks of their respective owners.

Page 21 of 26

Advertising